TSMC Wants To Make Intel Dependent On External Manufacturing – Wafer Supply Agreement Insights For AMD, Apple, Broadcom, Intel, MediaTek, Nvidia, and Qualcomm

Authors note: Reprinted the title, the original had a crude analogy related to drugs and addiction embedded throughout. I have donated $1k to the opioid crisis, I recognize that this doesn’t absolve me in any way.

People all over the semiconductor world have been speculating about the TSMC and Intel deal. All sorts of rumors have circulated about this deal from Intel trying to stiff AMD out of capacity to Intel running with their tail between their legs begging TSMC for capacity because their new nodes don’t work properly. This has all culminated with Pat Gelsinger’s trip to Taiwan last week. Some argue TSMC is shooting their own foot by supplying Intel. SemiAnalysis wants to clear the air because some of the rumors are ridiculous. We are going to dive into the details for wafer supply agreements including prepayment terms and capacity agreements for AMD, Apple, Broadcom, Intel, MediaTek, Nvidia, and Qualcomm.

TSMC likes to say they are everyone’s foundry and that they will supply everyone, but TSMC has their favorites. This doesn’t preclude TSMC from selling to everyone though. To be clear, TSMC is everyone’s foundry, but the terms of their agreements are not the same everywhere. TSMC gives quite different terms to their various clients. The most simple is volume pricing, but others include earlier access to technology or other terms. The best way to explain these differences are by first diving into some of the terms of TSMC’s most important customer, Apple.

By all measures, Apple is TSMC’s most important customer. Apple is the largest customer on the most advanced node. Apple utilizes advanced packaging more than any other firm in the form of high-density integrated fan outs (InFO). Apple is 3 times larger than TSMC’s 2nd largest customer. Apple is the rich friend that TSMC has had for a long time. Apple buys bleeding edge wafers and TSMC knows Apple will pay for them. TSMC knows Apple’s plans intimately, so TSMC will build out the capacity Apple asks for without demanding prepayments.

Apple’s integration with TSMC is so incredibly tight that they work together on defining the original process development kits (PDK) for new nodes. TSMCs other major customers get to have some customization, but Apple drives much of the base PDK.

Due to Apple being the first to major new nodes, Apple is in a unique position where their seasonal business drives utilization rates for the first year of a process node. In the first Q1 during the lifetime of the N7 process node and N5 process node, TSMC dealt with some underutilization on these respective nodes. Apple gets to buy capacity, but they also have the right to move the capacity they need up and down across the year according to their business needs. The exact details are unknown, but it’s abundantly clear Apple does not do prepayments for capacity like other customers. Likewise, Apple does not seem to pay charges when they reduce demand early in the year or increase demands mid-year. The advantages of being the tier 1 customer are immense.

TSMC takes this seasonal element and does something quite clever. Despite Apple not demanding TSMC’s full capacity of N5 wafers, TSMC continues to manufacture these wafers and holds them on the balance sheet. By pre-manufacturing wafers that TSMC knows they will sell, TSMC retains more capacity for later in the year and maximizes throughput/earnings.

Days of inventory increased 10 days to 83 days, primarily due to N5 wafer prebuild. We prebuild for our customers during seasonal low level as we did before. Now when we start to ramp in the higher season, the inventory usually come down naturally as before.

Wendall Huang – TSMC CFO

This paradigm will be changing somewhat with the N3 process node which has twice the number of new design tape outs in the first year relative to the N5 process node. There are a lot more firms hopping onto N3 despite some of the performance and cost per wafer issues. They will deal with some teething pains related to being the first mover that Apple and TSMC have hidden due to their incredibly tight integration.

Join the newsletter!

AMD and MediaTek are the two other preferred TSMC customers. They are mostly exclusive on the leading edge and therefore they do not deal with having to prepay large amounts for capacity. They get most the leading-edge wafer capacity they need, and the issues for their respective supply chain hinges on other aspects.

For AMD, these supply issues deal more with substrates and externally at server and notebook ODMs for components such as BMC’s and WiFi. For MediaTek, these supply issues deal more with PMIC and RFFE. As such, both firms’ pre-payment for supply agreements with TSMC are close to non-existent. In Q3 2021, AMD only notched up to $355M of pre-paid long-term supply agreements despite being amid the largest semiconductor supply crunch in decades. Most of this prepayment is dedicated to substrates.

Both MediaTek and AMD also work very closely with TSMC on customizing process nodes. MediaTek has been in the driver seat for the N6 and N4 node evolutions. MediaTek has been the first customer to use these process nodes by about 6 months. AMD also designs their own custom libraries. They worked on this some at N7, but especially so at the N5 process node. AMD is more so a trailblazer on packaging. TSMC’s has a testbed and ramp partner for 3D hybrid bonding packaging. This is AMD. Volumes here very small, but AMD has a more than 6 months head start on shipping the technology.

TSMC Q3 2021 Financial Filings

Despite TSMC’s 3 largest and most friendly customers not having pre-payment terms, TSMC has received large payments to retain capacity by her customers. In Q3 2021, TSMC received $580M for capacity within the next 12 months and $3.24B for capacity beyond 12 months. Certainly, some of TSMC’s customers need to give money up front to get the wafers they need years out.

At the other end of the spectrum, we have Qualcomm and Nvidia. These firms are very opportunistic with their wafer supply arrangements. They consistently play Samsung and TSMC off each other. Generally, Samsung undercuts TSMC by quite a bit, especially on a cost/transistor basis, and that has allowed them to lure over the 2nd and 3rd largest fabless semiconductor firms in the entire industry.

Nvidia has played this relationship masterfully. Nvidia has received much lower costs on low end GPUs in the past, and acceptable performance at much lower costs for the entire Ampere gaming and Orin automotive lineup. Nvidia has also been able to secure a lot more supply than they would have been able to access at TSMC, fueling their fantastic growth. Nvidia is also a trailblazer with TSMC by working with TSMC to optimize for massive reticle sized dies and custom libraries for the datacenter GPUs. Nvidia is by far the highest volume 2.5D advanced packaging customer at TSMC.

Due to Nvidia’s opportunistic switching between TSMC and Samsung, Nvidia doesn’t receive the same terms. Nvidia wants a lot of N5 capacity and 2.5D packaging capabilities next year and beyond as they prep launch for Hopper datacenter GPUs, Lovelace gaming GPUs, and continue to gain share in networking versus Broadcom. To secure this supply, Nvidia is prepaying billions to TSMC, something the previous 3 customers have not had to deal with. A big portion of this is also due to Nvidia’s growth at TSMC due to switching away from Samsung.

We entered into several long-term supply agreements, under which we made advance payments of $1.64 billion this quarter and will make future payments of $1.79 billion. Outstanding inventory purchase and long-term supply obligations were $6.90 billion, inclusive of the $1.79 billion, up from $2.57 billion a year earlier and up from $4.79 billion in the prior quarter.

Colette Kress – Nvidia CFO

Join the newsletter!

First of all, we have secured guaranteed supply, very large amounts of it, quite a spectacular amount of it from the world’s leading foundry in substrate and packaging and testing certain companies, the integral part of our supply chain.

Jensen Huang – Nvidia CEO

Qualcomm has played this relationship masterfully, but they have also had a recent misstep. Qualcomm has long since produced many of their low end and midrange SOCs at Samsung, but in 2020, they moved the flagship Snapdragon 800 line to Samsung. A long-speculated item is that Samsung used more Qualcomm SOCs in their smartphones due to their use of Samsung foundry.

This was a great arrangement up until just recently where MediaTek came roaring back. In July, SemiAnalysis exclusively detailed MediaTek’s usage of N4 for a new flagship SOC. We detailed the exact CPU configuration and cache details many months before MediaTek’s own announcement. At the time we believed that MediaTek may take the Android CPU crown away from Qualcomm, and that seems to have come true. Benchmarks show MediaTek has a 17% MT performance advantage and a 34% power efficiency advantage on the CPU.

Qualcomm uses the same stock Arm cores as MediaTek and has also recognized the performance deficit was coming. As such they are doing something completely unprecedented by switching nodes mid-generation. The S8G1, 2022 flagship SOC, is currently on a Samsung node, but mid-year 2022, they will release a version that is on TSMC’s N4 process node. This will allow all efficiency and performance deficits to be reclaimed. H1 will be a tough comparison for them due to this loss in the CPU category. Of course, heterogenous compute reigns supreme and Qualcomm has a better chip outside this, but they had to scramble. In 2023, Qualcomm will move the entire high end and flagship lineups to TSMC entirely.

Qualcomm has ~$13B of purchase obligations. This number can’t be directly compared to Nvidia’s, but it is a mammoth one. A large portion of these go to Qualcomm’s RFFE suppliers as they are growing this business in a titanic way. A portion also goes to UMC and PSMC, but TSMC is forcing Qualcomm to sign long term agreements as well.

Join the newsletter!

Before moving onto Intel, we will briefly touch on Broadcom. Broadcom has a diverse supply chain and they use multiple foundries including internal for RFFE, but TSMC is the primary foundry. Broadcom is one of TSMC’s largest customers, but Broadcom is also the slowest growing amongst the large customers. The slow growth is contrary to the fact that Broadcom is operating in the two fastest growth verticals in the semiconductor industry, networking and RFFE.

Broadcom is losing share in both, and a portion of it has to do with their capacity arrangements. They have been extremely tepid to increase capacity massively and demand absurd margins for their products. Broadcom’s technology is fantastic in many niche’s, but they are slowly being eroded. Future supply growth for Broadcom at TSMC is not that large, and they are making little to no pre-payments for leading edge N5 and N3 capacity. Broadcom is the financial machine who wants good deals on the front end and resells to their clients at very favorable terms. Broadcom has implemented non-cancellation terms into many of their product segments.

Now, onto Intel. Let’s start by dispelling this fiction that Intel is buying up capacity to stiff AMD. AMD is getting the capacity they want from TSMC, on the time frame they want. Another fact, Bob Swan has long since agreed to some very large deals. This deal included capacity at N6, N5/4, and N3. This is nothing new.

TSMC is smart, calculated, and is playing the long game. Yes, TSMC could be helping Intel get back on the horse as Intel works through internal process node issues. TSMC has a longer-term vision, where only they can supply leading edge capacity. In 2022 and 2023, Qualcomm and Nvidia recognize this fully, but are keeping Samsung in the back pocket for the future. They want to do the same to Intel.

As Intel has moved to more industry standard SOC design flows, the ability for them to design to different process nodes increases. Bob Swan kept using the word “optionality” for the change. Intel is keeping their core IP blocks portable and even designing them to multiple processes. Their roadmap includes chiplets on in-house and external processes. There is a battle brewing with Intel on internal process versus external.

TSMC wants to convert the Intel internal design and product teams to choosing TSMC nodes despite the lower margins. These teams have mostly used Intel nodes for majority of their history despite having external manufacturing account for 20% of total wafer supply. If TSMC can convince Intel design and product organizations that their nodes are better, they can cut the throat of Intel’s in-house manufacturing.

Pat Gelsinger has obviously pitched a very different story. He has been investing heavily in manufacturing and is even pushing a foundry service story. SemiAnalysis can confirm that Intel has stopped selling old tools into the market as they will be preserving older node capacity that they cannot transition. While the deals Bob Swan signed are very much binding and do include some pretty harsh terms to prevent cancellation, the long-term story is quite different.

But let’s get to ’25 and ’26, and we say we’ve overshot a little bit. I have 3 uses for that spare capacity. One is go get more market share, right? If I have leadership products and leadership process, I’m going to do so with good margins. Gain market share back. Second is, I’ve also built into our business model that we use foundries, right, external foundries as well. So if I have too much capacity, I’m going to pull wafers back from the external foundries and run them internally at better margins. Third, I go win more foundry customers. So I have — and these are good margin foundry customers as well, just like we’re seeing in the leading edge foundry market. So in the off chance that I have any spare capacity, I have 3 tremendous uses of that, that are highly margin and capital and cash flow efficient as well. So to me, those concerns, right, I lust after the day that one of those might actually materialize.

Pat Gelsinger – Intel CEO

About admin

administrator

Leave a Reply

Your email address will not be published.

Previous post Advanced Packaging Part 1 – Pad Limited Designs, Breakdown Of Economic Semiconductor Scaling, Heterogeneous Compute, and Chiplets
Next post Groundbreaking Updates to NVIDIA Studio Power the 3D Virtual Worlds of Tomorrow, Today